研究员/正高级工程师

研究员/正高级工程师

叶笑春

叶笑春

  • 职称: 研究员
  • 研究方向: 

    高通量众核处理器结构;图计算加速结构

  • 导师类别: 硕士生导师
  • 电子邮件: yexiaochun@ict.ac.cn

简历

主要从事高通量众核处理器以及图计算加速结构研究,作为核心骨干参与高性能众核处理器Godson-T、高通量众核处理器DPU等多款众核芯片的研制。主持国家自然科学基金、国家重点研发计划、中科院先导等课题十余项。发表学术论文100余篇,包括TCMICROHPCADAC等领域顶级会议/期刊,授权发明专利20余项。入选中科院青促会优秀会员,曾获北京市技术发明一等奖,产学研合作创新成果二等奖等奖励。

代表论著:

近年代表性会议文章: 

[1]Duo Wang, Mingyu Yan, Xin Liu, Mo Zou, Tianyu Liu, Wenming Li, Xiaochun Ye and Dongrui Fan. A High-accurate Multi-objective Exploration Framework for Design Space of CPU. 60th ACM/IEEE Design Automation Conference (DAC) 2023 

[2]Xiaocheng Yang, Mingyu Yan, Shirui Pan, Xiaochun Ye, and Dongrui Fan. Simple and Efficient Heterogeneous Graph Neural Network. in AAAI Conference on Artificial Intelligence (AAAI), 2023.  

[3]Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie. Alleviating Datapath Conflicts and Design Centralization in Graph Analytics Acceleration. 59th ACM/IEEE Design Automation Conference (DAC). 2022. 

[4]Xin Liu, Mingyu Yan, Lei Deng, Guoqi Li, Xiaochun Ye, Dongrui Fan, Shirui Pan, Yuan Xie. Survey on Graph Neural Network Acceleration: An Algorithmic Perspective. Proceedings of the 31st International Joint Conference on Artificial Intelligence (IJCAI). Vienna. 2022.  

[5]Xinxin Wu, Zhihua Fan, Tianyu Liu, Wenming Li, Xiaochun Ye and Dongrui Fan. LRP: Predictive output activation based on SVD approach for CNNs acceleration, DATE 2022 

[6]Dingyuan Cao, Mingzhe Zhang, Hang Lu, Xiaochun Ye, Dongrui Fan, Yuezhi Che, Rujia Wang. Streamline Ring ORAM Accesses through Spatial and Temporal Optimization. In the 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2021 

[7]Mingyu Yan, Lei Deng, Xing Hu, Ling Liang, Yujing Feng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie. HyGCN: A GCN Accelerator with Hybrid Architecture. IEEE International Symposium on High Performance Computer Architecture (HPCA). 2020. 

[8]Mingyu Yan, Xing Hu, Shuangchen Li, Abanti Basak, Han Li, Xin Ma, Itir Akgun, Yujing Feng, Peng Gu, Lei Deng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie. Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 2019. 

[9]Yan Gao, Boxiao Liu, Nan Guo, Xiaochun Ye, Fang Wan, Haihang You, Dongrui Fan. C-MIDN: Coupled Multiple Instance Detection Network with Segmentation Guidance for Weakly Supervised Object Detection. IEEE International Conference on Computer Vision (ICCV), 2019. 

[10]Dongrui Fan, Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Ninghui Sun. SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters. In the 24th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2018. 


近年代表性期刊文章:     

[1]Gongjian Sun, Mingyu Yan, Duo Wang, Han Li, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie. Multi-node Acceleration for Large-scale GCNs. IEEE Transactions on Computers (TC). 71(12): 3140-3152, 2022 

[2]Rongliang Fu, Junying Huang, Haibin Wu, Xiaochun Ye, Dongrui Fan, Tsung-Yi Ho. JBNN: A Hardware Design for Binarized Neural Networks using Single-Flux-Quantum Circuits. IEEE Transactions on Computers (TC). 71(12): 3203-3214, 2022 

[3]Yujing Feng, Dejian Li, Xu Tan, Xiaochun Ye, Dongrui Fan, Wenming Li, Da Wang, Hao Zhang, Zhimin Tang. Accelerating data transfer in dataflow architectures through a look-ahead acknowledgment mechanism. Journal of Computer Science and Technology (JCST), 37(4):1-18, July 2022 

[4]Xin Liu, Mingyu Yan, Lei Deng, Guoqi Li, Xiaochun Ye, Dongrui Fan. Sampling Methods for Efficient Training of Graph Convolutional Networks: A Survey. IEEE/CAA Journal of Automatica Sinica 9.2 (2021): 205-234. 

[5]Yi Li, Meng Wu, Xiaochun Ye, Wenming Li, Rui Xue, Da Wang, Hao Zhang, Dongrui Fan. An efficient scheduling algorithm for dataflow architecture using loop-pipelining. Information Sciences. 547 (2021): 1136–1153 

[6]Han Li, Mingyu Yan, Xiaocheng Yang, Lei Deng, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie. Hardware Acceleration for GCNs via Bidirectional Fusion. IEEE Computer Architecture Letters 20.1 (2021): 66-4. 

[7]Mingyu Yan, Zhaodong Chen, Lei Deng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie. Characterizing and Understanding GCNs on GPU. IEEE Computer Architecture Letters 19.1 (2020): 22-25. 

[8]Jiahong Yang, Guangming Tang, Xiangyu Zheng, Xiaochun Ye, Dongrui Fan, Zhimin Zhang, Ninghui Sun. Distributed Self-Clock: A Suitable Architecture for SFQ Circuits. IEEE Transactions on Applied Superconductivity, 30(7) 2020.  

[9]Guangming Tang, Peyao Qu, Xiangyu Zheng, Jiahong Yang, Xiaochun Ye, Dongrui Fan, Ninghui Sun. Bit-Slice Butterfly Processing Units for 64-Point RSFQ FFT Processors. IEEE Transactions on Applied Superconductivity, 30(1) 2020.  

[10]Xiaochun Ye, Taoran Xiang, Xu Tan, Yujing Feng, Haibin Wu, Meng Wu, Dongrui Fan. Applying CNN on a scientific application accelerator based on dataflow architecture. CCF Transactions on High Performance Computing. 1(3-4): 177-195 (2019) 


承担科研项目情况:

[1] 中国科学院先导A课题:超导计算机原理样机设计与集成,课题负责人
[2] 国家自然科学基金面上项目:数据流众核体系结构中的数据通路优化研究,课题负责人
[3] 中国科学院国际伙伴计划项目:车辆无线通信区域实时预测,项目负责人
[4] 中国科学院国际伙伴计划项目:自动汽车互联实时模拟技术研究,项目负责人
[5] 国家自然科学基金青年科学基金项目:共享存储多核系统中的确定性重放机制研究,课题负责人
[6] 国家高技术研究发展计划(863计划):硬件支持的低开销的确定性重放技术研究,子课题负责人